Re: How can I test for empty elements

Subject: Re: How can I test for empty elements
From: Tony Graham <tgraham@xxxxxxxxxxxxxxxx>
Date: Tue, 20 Oct 1998 12:05:02 -0400 (EDT)
At 20 Oct 1998 16:59 +0100, Thomas Stadler wrote:
 > Sorry, I cannot find the answer in the spec:
 > 
 > how can I ask whether the element at current-node
 > is an EMPTY one?
 > 
 > I need it for a generic transformer from and to
 > SGML and for the distinction whether to make element
 > or empty-element.

(if (node-property "must-omit-end-tag?" node)
      (make empty-element
	    attributes: (copy-attributes))
      (make element
	    attributes: (copy-attributes)))

See "SGML Identity Transformation" in the DSSSL Cookbook at
http://www.mulberrytech.com/dsssl/dsssldoc/cookbook

Regards,


Tony Graham
======================================================================
Tony Graham                            mailto:tgraham@xxxxxxxxxxxxxxxx
Mulberry Technologies, Inc.                http://www.mulberrytech.com
17 West Jefferson Street                    Direct Phone: 301/315-9632
Suite 207                                          Phone: 301/315-9631
Rockville, MD  20850                                 Fax: 301/315-8285
----------------------------------------------------------------------
  Mulberry Technologies: A Consultancy Specializing in SGML and XML
======================================================================


 DSSSList info and archive:  http://www.mulberrytech.com/dsssl/dssslist


Current Thread