Re: [stella] TIA video timing

Subject: Re: [stella] TIA video timing
From: Adam Wozniak <adam@xxxxxxxxxxxxxxxx>
Date: Thu, 10 Mar 2005 16:31:39 -0500
-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

On Thu, 10 Mar 2005, Chris Wilkson wrote:
> >  -- video sync output
> > process (posNOW)
> > begin
> >    if posNOW = "00010000" then        -- 00010000 == 16
> >       sync <= not ('1' xor regVSYNC);
> >    elsif posNOW = "00100000" then     -- 00100000 == 32
> >       sync <= not ('0' xor regVSYNC);
> >    end if;
> > end process;
> >
> > Did I get the logic levels right?  Ack, I still don't feel
> > like I'm on solid ground here.
> 
> When regVSYNC is a one, you want the pin to be high during hsync
> and low otherwise.
> So you need to invert your counter logic:
> 
>      sync <= ('0' xor regVSYNC); -- turn on hsync
>      sync <= ('1' xor regVSYNC); -- turn off hsync

isn't
       sync <= not ('1' xor regVSYNC);
equivalent to
       sync <= ('0' xor regVSYNC); -- turn on hsync
?

method one... when regVSYNC = 0, sync = 0
              when regVSYNC = 1, sync = 1
method two... when regVSYNC = 0, sync = 0
              when regVYSNC = 1, sync = 1


Well color me silly.  Doesn't this then become...


 -- video sync output
process (posNOW)
begin
   if posNOW = "00010000" then        -- 00010000 == 16
      sync <= regVSYNC;
   elsif posNOW = "00100000" then     -- 00100000 == 32
      sync <= not regVSYNC;
   end if;
end process;


- -- 
adam@xxxxxxxxxxxxxxxx        http://cuddlepuddle.org/~adam
KG6GZR                       http://cuddlepuddle.org/~adam/resume.html

-----BEGIN PGP SIGNATURE-----
Version: GnuPG v1.2.1 (GNU/Linux)

iD8DBQFCMKtIyvXf5Z0z5zERAjltAJ0Wxsksu5oXZ+YUA+HiphNiWlcmPgCgwvrn
5lNu6GgHHYcdvjl6nb26zg4=
=FHT/
-----END PGP SIGNATURE-----


Archives (includes files) at http://www.biglist.com/lists/stella/archives/
Unsub & more at http://stella.biglist.com

Current Thread